TRIRIGA

 View Only
Expand all | Collapse all

Break task is not breaking, and continuing with further available tasks outside the loop is not happening.

  • 1.  Break task is not breaking, and continuing with further available tasks outside the loop is not happening.

    Posted Thu March 28, 2024 08:49 AM

    Hi All, I have a loop task outside of my loop task; I have a variable task within the loop task; I have an assignment task to that variable task after the assignment task within the loop; I have a break task. My condition in the break task is that if the variable task result count is zero, then it should break and continue the execution for the next available tasks, but it is not breaking. Can someone please help me determine if my break-task condition is correct or not? your help would be much appreciated.



    ------------------------------
    CSR89
    ------------------------------


  • 2.  RE: Break task is not breaking, and continuing with further available tasks outside the loop is not happening.

    IBM Champion
    Posted Mon April 01, 2024 10:46 AM

    I believe the variable assignment operator always has a record count of 0. You'll want to use the variable declaration task as that is the one that actually contains record(s). That is what I've done in the past.

    --Mark



    ------------------------------
    Mark Johnson
    Senior Architect
    Wipro
    Bentonville AR
    7025088528
    ------------------------------



  • 3.  RE: Break task is not breaking, and continuing with further available tasks outside the loop is not happening.

    Posted Tue April 02, 2024 09:11 AM

    Hi Mark, Thank you so much for your valuable response. Let us check the above-highlighted condition in the break task and see how it works, as once we reach the result count of zero as per the condition, the task should be broken and it will continue for further task execution.



    ------------------------------
    CSR89
    ------------------------------